File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)
Related Researcher

박희천

Park, Heechun
Read More

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Design Flow for Active Interposer-Based 2.5-D ICs and Study of RISC-V Architecture With Secure NoC

Author(s)
Park, HeechunKim, JinwooChekuri, Venkata Chaitanya KrishnaDolatsara, Majid AhadiNabeel, MohammedBojesomo, AlabiPatnaik, SatwikSinanoglu, OzgurSwaminathan, MadhavanMukhopadhyay, SaibalKnechtel, JohannLim, Sung Kyu
Issued Date
2020-12
DOI
10.1109/TCPMT.2020.3033136
URI
https://scholarworks.unist.ac.kr/handle/201301/81619
Citation
IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY, v.10, no.12, pp.2047 - 2060
Abstract
Interposer-based 2.5-D integrated circuits (ICs) enable the chip-level reuse of hard intellectual properties (IPs), also known as chiplets. Such system-level integration shortens the design cycle considerably for large-scale and heterogeneous chips. Besides traditional interposers, which only provide passive elements and routing, active interposers are furthermore comprised of logic components. When implemented carefully using a dedicated electronic design automation (EDA) flow, an active interposer can significantly improve the design quality and flexibility for 2.5-D ICs. In this article, we present a complete EDA flow and design strategies targeting, such active interposer-based 2.5-D ICs. Our key contributions include the coanalysis of power, performance, signal and power integrity, and the related co-optimization of chiplets and the active interposer. Our benchmark is a 64-core RISC-V architecture, organized into multiple chiplets and interconnected by a system-level network-on-chip (NoC). For efficiency, we embed the NoC routers and integrated voltage regulators (IVRs) into the active interposer. Moreover, we integrate security monitors into the interposer-based NoC to protect the system and its shared memories against adversarial traffic. The simple yet powerful benefit of this implementation is to offer security by construction, as it is based on a clear physical separation between critical and trusted components (the system-level NoC) versus commodity components (the chiplets). We contrast our active, secured design to a passive, unsecured design baseline of the same RISC-V benchmark and find that the active design reduces the silicon area by 18.5%, power by 3.2%, and IR drop by 73.7%.
Publisher
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
ISSN
2156-3950
Keyword (Author)
Integrated circuitsSecuritySignal integrityElectronic design automation and methodologyPackagingNetwork-on-chip25-D integrated circuit (IC)active interposerchipletelectronic design automation (EDA) flowhardware securitynetwork-on-chip (NoC)power integrity (PI)signal integrity (SI)

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.