File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)
Related Researcher

박희천

Park, Heechun
Read More

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.endPage 2060 -
dc.citation.number 12 -
dc.citation.startPage 2047 -
dc.citation.title IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY -
dc.citation.volume 10 -
dc.contributor.author Park, Heechun -
dc.contributor.author Kim, Jinwoo -
dc.contributor.author Chekuri, Venkata Chaitanya Krishna -
dc.contributor.author Dolatsara, Majid Ahadi -
dc.contributor.author Nabeel, Mohammed -
dc.contributor.author Bojesomo, Alabi -
dc.contributor.author Patnaik, Satwik -
dc.contributor.author Sinanoglu, Ozgur -
dc.contributor.author Swaminathan, Madhavan -
dc.contributor.author Mukhopadhyay, Saibal -
dc.contributor.author Knechtel, Johann -
dc.contributor.author Lim, Sung Kyu -
dc.date.accessioned 2024-03-13T15:05:08Z -
dc.date.available 2024-03-13T15:05:08Z -
dc.date.created 2024-03-13 -
dc.date.issued 2020-12 -
dc.description.abstract Interposer-based 2.5-D integrated circuits (ICs) enable the chip-level reuse of hard intellectual properties (IPs), also known as chiplets. Such system-level integration shortens the design cycle considerably for large-scale and heterogeneous chips. Besides traditional interposers, which only provide passive elements and routing, active interposers are furthermore comprised of logic components. When implemented carefully using a dedicated electronic design automation (EDA) flow, an active interposer can significantly improve the design quality and flexibility for 2.5-D ICs. In this article, we present a complete EDA flow and design strategies targeting, such active interposer-based 2.5-D ICs. Our key contributions include the coanalysis of power, performance, signal and power integrity, and the related co-optimization of chiplets and the active interposer. Our benchmark is a 64-core RISC-V architecture, organized into multiple chiplets and interconnected by a system-level network-on-chip (NoC). For efficiency, we embed the NoC routers and integrated voltage regulators (IVRs) into the active interposer. Moreover, we integrate security monitors into the interposer-based NoC to protect the system and its shared memories against adversarial traffic. The simple yet powerful benefit of this implementation is to offer security by construction, as it is based on a clear physical separation between critical and trusted components (the system-level NoC) versus commodity components (the chiplets). We contrast our active, secured design to a passive, unsecured design baseline of the same RISC-V benchmark and find that the active design reduces the silicon area by 18.5%, power by 3.2%, and IR drop by 73.7%. -
dc.identifier.bibliographicCitation IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY, v.10, no.12, pp.2047 - 2060 -
dc.identifier.doi 10.1109/TCPMT.2020.3033136 -
dc.identifier.issn 2156-3950 -
dc.identifier.scopusid 2-s2.0-85098545240 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/81619 -
dc.identifier.wosid 000602708500012 -
dc.language 영어 -
dc.publisher IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC -
dc.title Design Flow for Active Interposer-Based 2.5-D ICs and Study of RISC-V Architecture With Secure NoC -
dc.type Article -
dc.description.isOpenAccess FALSE -
dc.relation.journalWebOfScienceCategory Engineering, Manufacturing; Engineering, Electrical & Electronic; Materials Science, Multidisciplinary -
dc.relation.journalResearchArea Engineering; Materials Science -
dc.type.docType Article -
dc.description.journalRegisteredClass scie -
dc.description.journalRegisteredClass scopus -
dc.subject.keywordAuthor Integrated circuits -
dc.subject.keywordAuthor Security -
dc.subject.keywordAuthor Signal integrity -
dc.subject.keywordAuthor Electronic design automation and methodology -
dc.subject.keywordAuthor Packaging -
dc.subject.keywordAuthor Network-on-chip -
dc.subject.keywordAuthor 25-D integrated circuit (IC) -
dc.subject.keywordAuthor active interposer -
dc.subject.keywordAuthor chiplet -
dc.subject.keywordAuthor electronic design automation (EDA) flow -
dc.subject.keywordAuthor hardware security -
dc.subject.keywordAuthor network-on-chip (NoC) -
dc.subject.keywordAuthor power integrity (PI) -
dc.subject.keywordAuthor signal integrity (SI) -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.