File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Sensitivity-Guided Metaheuristics for Accurate Discrete Gate Sizing

Author(s)
Hu, JinKahnf, Andrew B.Kang, SeokhyeongKim, Myung-ChulMarkov, Igor l
Issued Date
2012-11-06
URI
https://scholarworks.unist.ac.kr/handle/201301/46621
Fulltext
http://ieeexplore.ieee.org/document/6386614
Citation
IEEE/ACM International Conference on Computer- Aided Design, pp.233 - 239
Abstract
The well-studied gate-sizing optimization is a major contributor to IC power-performance tradeoffs. Viable optimizers must accurately model circuit timing, satisfy a variety of constraints, scale to large circuits, and effectively utilize a large (but finite) number of possible gate configurations, including Vt and Lg. Within the research-oriented infrastructure used in the ISPD 2012 Gate Sizing Contest, we develop a metaheuristic approach to gate sizing that integrates timing and power optimization, and handles several types of constraints. Our solutions are evaluated using a rigorous protocol that computes circuit delay with Synopsys PrimeTime. Our implementation Trident outperforms the best-reported results on all but one of the ISPD 2012 benchmarks. Compared to the 2012 contest winner, we further reduce leakage power by an average of 43%.
Publisher
IEEE

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.