File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Active-Mode Leakage Reduction with Data-Retained Power Gating

Author(s)
Kahng, Andrew B.Kang, SeokhyeongPark, Bongil
Issued Date
2013-03-18
DOI
10.7873/DATE.2013.251
URI
https://scholarworks.unist.ac.kr/handle/201301/46619
Fulltext
http://ieeexplore.ieee.org/document/6513697/
Citation
Design Automation and Test in Europe Conference, pp.1209 - 1214
Abstract
Power gating is one of the most effective solutions available to reduce leakage power. However, power gating is not practically usable in an active mode due to the overheads of inrush current and data retention. In this work, we propose a data-retained power gating (DRPG) technique which enables power gating of flip-flops during active mode. More precisely, we combine clock gating and power gating techniques, with the flip-flops being power-gated during clock masked periods. We introduce a retention switch which retains data during the power gating. With the retention switch, correct logic states and functionalities are guaranteed without additional control circuitry. The proposed technique can achieve significant active-mode leakage reduction over conventional designs with small area and performance overheads. In studies with a 65nm foundry library and open-source benchmarks, DRPG achieves up to 25.7% active-mode leakage savings (11.8% savings on average) over conventional designs.
Publisher
16th Design, Automation and Test in Europe Conference and Exhibition, DATE 2013
ISSN
1530-1591

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.