File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)
Related Researcher

박희천

Park, Heechun
Read More

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Architecture, Chip, and Package Codesign Flow for Interposer-Based 2.5-D Chiplet Integration Enabling Heterogeneous IP Reuse

Author(s)
Kim, JinwooMurali, GauthamanPark, HeechunQin, EricKwon, HyoukjunChekuri, Venkata Chaitanya KrishnaRahman, Nael MizanurDasari, NiharSingh, ArvindLee, MinahTorun, Hakki MertRoy, KallolSwaminathan, MadhavanMukhopadhyay, SaibalKrishna, TusharLim, Sung Kyu
Issued Date
2020-11
DOI
10.1109/TVLSI.2020.3015494
URI
https://scholarworks.unist.ac.kr/handle/201301/81627
Citation
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.28, no.11, pp.2424 - 2437
Abstract
A new trend in system-on-chip (SoC) design is chiplet-based IP reuse using 2.5-D integration. Complete electronic systems can be created through the integration of chiplets on an interposer, rather than through a monolithic flow. This approach expands access to a large catalog of off-the-shelf intellectual properties (IPs), allows reuse of them, and enables heterogeneous integration of blocks in different technologies. In this article, we present a highly integrated design flow that encompasses architecture, circuit, and package to build and simulate heterogeneous 2.5-D designs. Our target design is 64core architecture based on Reduced Instruction Set Computer (RISC)-V processor. We first chipletize each IP by adding logical protocol translators and physical interface modules. We convert a given register transfer level (RTL) for 64-core processor into chiplets, which are enhanced with our centralized network-onchip. Next, we use our tool to obtain physical layouts, which is subsequently used to synthesize chip-to-chip I/O drivers and these chiplets are placed/routed on a silicon interposer. Our package models are used to calculate power, performance, and area (PPA) and reliability of 2.5-D design. Our design space exploration (DSE) study shows that 2.5-D integration incurs 1.29x power and 2.19x area overheads compared with 2-D counterpart. Moreover, we perform DSE studies for power delivery scheme and interposer technology to investigate the tradeoffs in 2.5-D integrated chip (IC) designs.
Publisher
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
ISSN
1063-8210
Keyword (Author)
2.5-D integrated chip (IC)chipletelectronic design automation (EDA) flowinterposerpowerperformanceand area (PPA)reliability
Keyword
CIRCUITSICS

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.