File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

High-performance gate sizing with a signoff timer

Author(s)
Kahng, Andrew B.Kang, SeokhyeongLee, HyeinMarkov, Igor L.Thapar, Pankit
Issued Date
2013-11-20
DOI
10.1109/ICCAD.2013.6691156
URI
https://scholarworks.unist.ac.kr/handle/201301/46612
Fulltext
http://ieeexplore.ieee.org/document/6691156/
Citation
IEEE/ACM International Conference on Computer- Aided Design, pp.450 - 457
Abstract
Process and device scaling in late-CMOS technologies highlight leakage power as a critical challenge for the semiconductor industry. Careful gate sizing and Vth-swapping can reduce leakage, but prior optimizations based on convex or dynamic programming (i) are often based on unrealistic assumptions about circuit delay and slew propagation, (ii) fail to handle practical design rules such as transition time or load upper bounds, and (iii) do not scale well to input complexities when full extracted parasitics are available. Seeing substantial opportunities for improvement, we present a multithreaded, stochastic optimization (Trident2.0) for gate sizing and Vth assignment to minimize leakage power subject to capacitance, slew and timing constraints. Scalability and high performance of Trident2.0 are validated on ISPD-2013 Gate Sizing Contest benchmarks.
Publisher
2013 32nd IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2013

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.