File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)
Related Researcher

이종은

Lee, Jongeun
Intelligent Computing and Codesign Lab.
Read More

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Operation and Data Mapping for CGRAs with Multi-bank Memory

Author(s)
Kim, YongjooLee, JongeunShrivastava, AviralPaek, Yunheung
Issued Date
2010-04
DOI
10.1145/1755951.1755892
URI
https://scholarworks.unist.ac.kr/handle/201301/3841
Fulltext
http://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=77951219640
Citation
ACM SIGPLAN NOTICES, v.45, no.4, pp.17 - 25
Abstract
Coarse Grain Reconfigurable Architectures (CGRAs) promise high performance at high power efficiency. They fulfil this promise by keeping the hardware extremely simple, and moving the complexity to application mapping. One major challenge comes in the form of data mapping. For reasons of power-efficiency and complexity, CGRAs use multi-bank local memory, and a row of PEs share memory access. In order for each row of the PEs to access any memory bank, there is a hardware arbiter between the memory requests generated by the PEs and the banks of the local memory. However, a fundamental restriction remains that a bank cannot be accessed by two different PEs at the same time. We propose to meet this challenge by mapping application operations onto PEs and data into memory banks in a way that avoids such conflicts. Our experimental results on kernels from multimedia benchmarks demonstrate that our local memory-aware compilation approach can generate mappings that are up to 40% better in performance (17.3% on average) compared to a memory-unaware scheduler.
Publisher
ASSOC COMPUTING MACHINERY
ISSN
0362-1340

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.