File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.endPage 831 -
dc.citation.number 6 -
dc.citation.startPage 824 -
dc.citation.title JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE -
dc.citation.volume 14 -
dc.contributor.author Kim, Youngmin -
dc.contributor.author Lee, Jaemin -
dc.contributor.author Ryu, Myunghwan -
dc.date.accessioned 2023-12-22T01:48:49Z -
dc.date.available 2023-12-22T01:48:49Z -
dc.date.created 2015-01-05 -
dc.date.issued 2014-12 -
dc.description.abstract In this study, structural variations and overlay errors caused by multiple patterning lithography techniques to print narrow parallel metal interconnects are investigated. Resistance and capacitance parasitic of the six lines of parallel interconnects printed by double patterning lithography (DPL) and triple patterning lithography (TPL) are extracted from a field solver. Wide parameter variations both in DPL and TPL processes are analyzed to determine the impact on signal propagation. Simulations of 10% parameter variations in metal lines show delay variations up to 20% and 30% in DPL and TPL, respectively. Monte Carlo statistical analysis shows that the TPL process results in 21% larger standard variation in delay than the DPL process. Crosstalk simulations are conducted to analyze the dependency on the conditions of the neighboring wires. As expected, opposite signal transitions in the neighboring wires significantly degrade the speed of signal propagation, and the impact becomes larger in the C-worst metals patterned by the TPL process compared to those patterned by the DPL process. As a result, both DPL and TPL result in large variations in parasitic and delay. Therefore, an accurate understanding of variations in the interconnect parameters by multiple patterning lithography and adding proper margins in the circuit designs is necessary. -
dc.identifier.bibliographicCitation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.14, no.6, pp.824 - 831 -
dc.identifier.doi 10.5573/JSTS.2014.14.6.824 -
dc.identifier.issn 1598-1657 -
dc.identifier.scopusid 2-s2.0-84920285648 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/9808 -
dc.identifier.wosid 000353275400018 -
dc.language 영어 -
dc.publisher IEEK PUBLICATION CENTER -
dc.title Comprehensive Performance Analysis of Interconnect Variation by double and triple patterning lithography processes -
dc.type Article -
dc.description.isOpenAccess FALSE -
dc.relation.journalWebOfScienceCategory Engineering, Electrical & Electronic; Physics, Applied -
dc.relation.journalResearchArea Engineering; Physics -
dc.description.journalRegisteredClass scie -
dc.description.journalRegisteredClass scopus -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.