File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.conferencePlace IT -
dc.citation.conferencePlace Firenze Fiera Florence -
dc.citation.endPage 847 -
dc.citation.startPage 842 -
dc.citation.title 22nd Design, Automation and Test in Europe Conference and Exhibition, DATE 2019 -
dc.contributor.author Kahng, Andrew B. -
dc.contributor.author Kang, Seokhyeong -
dc.contributor.author Kim, Seungwon -
dc.contributor.author Samadi, Kambiz -
dc.contributor.author Xu, Bangqi -
dc.date.accessioned 2024-02-01T00:37:41Z -
dc.date.available 2024-02-01T00:37:41Z -
dc.date.created 2019-06-17 -
dc.date.issued 2019-03-25 -
dc.description.abstract In advanced technology nodes, emerging die-to-wafer (D2W) integration technology is a promising More Than Moore lever for continued scaling of system capability and value. In D2W 3D IC implementation, the power delivery network (PDN) is crucial to meeting design specifications. However, determining the optimal PDN design is nontrivial. On the one hand, to meet the IR drop requirement, denser power mesh is desired. On the other hand, to meet the timing requirement for a high-utilization design, more routing resource should be available for signal routing. Moreover, additional competition between signal routing and power routing is caused by inter-tier vertical interconnects in 3D IC. In this paper, we propose a power delivery pathfinding methodology for emerging die-to-wafer integration, which seeks to identify an optimal or near-optimal PDN for a given design and PDN specification. Our pathfinding methodology exploits models for routability and worst IR drop, which helps reduce iterations between PDN design and circuit design in 3D IC implementation. We present validations with real design examples and a 28nm foundry technology. -
dc.identifier.bibliographicCitation 22nd Design, Automation and Test in Europe Conference and Exhibition, DATE 2019, pp.842 - 847 -
dc.identifier.doi 10.23919/DATE.2019.8715046 -
dc.identifier.issn 0000-0000 -
dc.identifier.scopusid 2-s2.0-85066615336 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/80077 -
dc.identifier.url https://ieeexplore.ieee.org/document/8715046 -
dc.language 영어 -
dc.publisher Institute of Electrical and Electronics Engineers Inc. -
dc.title Power Delivery Pathfinding for Emerging Die-to-Wafer Integration Technology -
dc.type Conference Paper -
dc.date.conferenceDate 2019-03-25 -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.