File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.conferencePlace US -
dc.citation.conferencePlace Austin, TX -
dc.citation.title 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP 2013 -
dc.contributor.author Kang, Seokhyeong -
dc.contributor.author Kahng, Andrew B. -
dc.contributor.author Lee, Hyein -
dc.contributor.author Nath, Siddhartha -
dc.contributor.author Wadhwani, Jyoti -
dc.date.accessioned 2023-12-20T01:06:22Z -
dc.date.available 2023-12-20T01:06:22Z -
dc.date.created 2015-07-01 -
dc.date.issued 2013-06-02 -
dc.description.abstract Incremental static timing analysis (iSTA) is the backbone of iterative sizing and Vt-swapping heuristics for post-layout timing recovery and leakage power reduction. Performing such analysis through available interfaces of a signoff STA tool brings efficiency and functionality limitations. Thus, an internal iSTA tool must be built that matches the signoff STA tool. A key challenge is the matching of 'black-box' modeling of interconnect effects in the signoff tool, so as to match wire slew, wire delay, gate slew and gate delay on each arc of the timing graph. Previous moment-based analytical models for gate and wire slew and delay typically have large errors when compared to values from signoff STA tools. To mitigate the accumulation of these errors and preserve timing correlation, sizing tools must invoke the signoff STA tool frequently, thus incurring large runtime costs. In this work, we pursue a learning-based approach to fit analytical models of wire slew and delay to estimates from a signoff STA tool. These models can improve the accuracy of delay and slew estimations, such that the number of invocations of the signoff STA tool during sizing optimizations is significantly reduced. -
dc.identifier.bibliographicCitation 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP 2013 -
dc.identifier.doi 10.1109/SLIP.2013.6681682 -
dc.identifier.scopusid 2-s2.0-84893375297 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/46772 -
dc.identifier.url https://ieeexplore.ieee.org/document/6681682 -
dc.language 영어 -
dc.publisher 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP 2013 -
dc.title Learning-Based Approximation of Interconnect Delay and Slew in Signoff Timing Tools -
dc.type Conference Paper -
dc.date.conferenceDate 2013-06-02 -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.