File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)
Related Researcher

노삼혁

Noh, Sam H.
Read More

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.conferencePlace US -
dc.citation.conferencePlace Houston, TX -
dc.citation.endPage 192 -
dc.citation.startPage 181 -
dc.citation.title 18th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2013) -
dc.contributor.author Park, Heekwon -
dc.contributor.author Baek, Seungjae -
dc.contributor.author Choi, Jongmoo -
dc.contributor.author Lee, Donghee -
dc.contributor.author Noh, Sam H. -
dc.date.accessioned 2023-12-20T01:08:29Z -
dc.date.available 2023-12-20T01:08:29Z -
dc.date.created 2016-09-24 -
dc.date.issued 2013-03-19 -
dc.description.abstract We propose a novel kernel-level memory allocator, called M3 (Mcube, Multi-core Multi-bank Memory allocator), that has the following
two features. First, it introduces and makes use of a notion of a memory container, which is defined as a unit of memory that comprises the minimum number of page frames that can cover all the banks of the memory organization, by exclusively assigning a container to a core so that each core achieves bank parallelism as much as possible. Second, it orchestrates page frame allocation so that pages that threads access are dispersed randomly across multiple banks so that each thread’s access pattern is randomized. The evelopment of M3 is based on a tool that we develop to fully understand the architectural characteristics of the underlying memory organization. Using an extension of this tool, we observe that the same application that accesses pages in a random manner outperforms one that accesses pages in a regular pattern such as sequential or same ordered accesses. This is because such randomized accesses reduces inter-thread access interference on the rowbuffer in memory banks. We implement M3 in the Linux kernel version 2.6.32 on the Intel Xeon system that has 16 cores and 32GB DRAM. Performance evaluation with various workloads show that M3 improves the verall performance for memory intensive benchmarks by up to 85% with an average of about 40%.
-
dc.identifier.bibliographicCitation 18th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2013), pp.181 - 192 -
dc.identifier.doi 10.1145/2451116.2451137 -
dc.identifier.scopusid 2-s2.0-84875673448 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/35668 -
dc.identifier.url http://dl.acm.org/citation.cfm?doid=2451116.2451137 -
dc.language 영어 -
dc.publisher ACM -
dc.title Regularities considered harmful: forcing randomness to memory accesses to reduce row buffer conflicts for multi-core, multi-bank systems -
dc.type Conference Paper -
dc.date.conferenceDate 2013-03-16 -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.