File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.conferencePlace GE -
dc.citation.endPage 888 -
dc.citation.startPage 885 -
dc.citation.title 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE) -
dc.contributor.author Kim, Seungwon -
dc.contributor.author Han, Ki Jin -
dc.contributor.author Kim, Youngmin -
dc.contributor.author Kang, Seokhyeong -
dc.date.accessioned 2023-12-19T17:36:40Z -
dc.date.available 2023-12-19T17:36:40Z -
dc.date.created 2019-02-28 -
dc.date.issued 2018-03-19 -
dc.description.abstract The power integrity of high-speed interfaces is an increasingly important issue in mobile memory systems. However, because of complicated design variations such as adjacent VDD domain coupling, conventional case-specific modeling is limited in analyzing trends in results from parametric variations. Moreover, conventional industrial methods can be simulated only after the design layout is completed and it requires a lot of back-annotation processes, which result in delayed delays time to market. In this paper, we propose a chip-package-PCB coanalysis methodology applied to our multi-domain high-speed memory system model with a current generation method. Our proposed parametric simulation model can analyze the tendency of power integrity results from variable sweeps and Monte Carlo simulations, and it shows a significantly reduced runtime compared to the conventional EDA methodology under JEDEC LPPDR4 environment. -
dc.identifier.bibliographicCitation 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.885 - 888 -
dc.identifier.doi 10.23919/DATE.2018.8342132 -
dc.identifier.scopusid 2-s2.0-85048755022 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/34789 -
dc.identifier.url https://ieeexplore.ieee.org/document/8342132 -
dc.language 영어 -
dc.publisher DATE 2018 -
dc.title Fast chip-package-PCB coanalysis methodology for power integrity of multi-domain high-speed memory: A case study -
dc.type Conference Paper -
dc.date.conferenceDate 2018-03-19 -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.