File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.endPage 1782 -
dc.citation.number 10 -
dc.citation.startPage 1769 -
dc.citation.title IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS -
dc.citation.volume 21 -
dc.contributor.author Kahng, Andrew B. -
dc.contributor.author Kang, Seokhyeong -
dc.contributor.author Kumar, Rakesh -
dc.contributor.author Sartori, John -
dc.date.accessioned 2023-12-22T03:36:24Z -
dc.date.available 2023-12-22T03:36:24Z -
dc.date.created 2015-07-03 -
dc.date.issued 2013-10 -
dc.description.abstract The proliferation of embedded systems and mobile devices has created an increasing demand for low-energy hardware. Dynamic voltage and frequency scaling (DVFS) is a popular energy reduction technique that allows a hardware design to reduce average power consumption while still enabling the design to meet a high-performance target when necessary. To conserve energy, many DVFS-based embedded and mobile devices often spend a large fraction of their lifetimes in a low-power mode. However, DVFS designs produced by conventional multimode CAD flows tend to have significant energy overheads when operating outside of the peak performance mode, even when they are operating in a low-power mode. A dedicated core can be added for low-energy operation, but has a high cost in terms of area and leakage. In this paper, we explore the DVFS design space to identify the factors that affect DVFS efficiency. Based on our insights, we propose two design-level techniques to enhance the energy efficiency of DVFS for energy constrained systems. First, we present a context-aware DVFS design flow that considers the intrinsic characteristics of the hardware design, as well as the operating scenario-including the relative amounts of time spent in different modes, the range of performance scalability, and the target efficiency metric-to optimize the design for maximum energy efficiency. We also present a selective replication-based DVFS design methodology that identifies hardware modules for which context-aware multimode design may be inefficient and creates dedicated module replicas for different operating modes for such modules. We show that context-aware design can reduce average power by up to 20% over a conventional multimode design flow. Selective replication can reduce average power by an additional 4%. We also use the generated insights to identify microarchitectural decisions that impact DVFS efficiency. We show that the benefits from the proposed design-level techniques increase when microarchitectural transformations are allowed -
dc.identifier.bibliographicCitation IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.21, no.10, pp.1769 - 1782 -
dc.identifier.doi 10.1109/TVLSI.2012.2219084 -
dc.identifier.issn 1063-8210 -
dc.identifier.scopusid 2-s2.0-84884588560 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/11870 -
dc.identifier.url http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=6352933 -
dc.identifier.wosid 000324650300001 -
dc.language 영어 -
dc.publisher IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC -
dc.title Enhancing the Efficiency of Energy-Constrained DVFS Designs -
dc.type Article -
dc.description.journalRegisteredClass scie -
dc.description.journalRegisteredClass scopus -
dc.subject.keywordAuthor Context-aware design -
dc.subject.keywordAuthor dynamic voltage and frequency scaling -
dc.subject.keywordAuthor lifetime energy reduction -
dc.subject.keywordAuthor low-power design -
dc.subject.keywordPlus ARCHITECTURE -
dc.subject.keywordPlus SRAM -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.