File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)
Related Researcher

이종은

Lee, Jongeun
Intelligent Computing and Codesign Lab.
Read More

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.endPage 23 -
dc.citation.number 4 -
dc.citation.startPage 1 -
dc.citation.title ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION -
dc.citation.volume 8 -
dc.contributor.author Kim, Yongjoo -
dc.contributor.author Lee, Jongeun -
dc.contributor.author Mai, Toan X. -
dc.contributor.author Paek, Yunheung -
dc.date.accessioned 2023-12-22T05:37:13Z -
dc.date.available 2023-12-22T05:37:13Z -
dc.date.created 2013-06-20 -
dc.date.issued 2012-01 -
dc.description.abstract Pipelining algorithms are typically concerned with improving only the steady-state performance, or the kernel time. The pipeline setup time happens only once and therefore can be negligible compared to the kernel time. However, for Coarse-Grained Reconfigurable Architectures (CGRAs) used as a coprocessor to a main processor, pipeline setup can take much longer due to the communication delay between the two processors, and can become significant if it is repeated in an outer loop of a loop nest. In this paper we evaluate the overhead of such non-kernel execution times when mapping nested loops for CGRAs, and propose a novel architecture-compiler cooperative scheme to reduce the overhead, while also minimizing the number of extra configurations required. Our experimental results using loops from multimedia and scientific domains demonstrate that our proposed techniques can greatly increase the performance of nested loops by up to 2.87 times compared to the conventional approach of accelerating only the innermost loops. Moreover, the mappings generated by our techniques require only a modest number of configurations that can fit in recent reconfigurable architectures. -
dc.identifier.bibliographicCitation ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, v.8, no.4, pp.1 - 23 -
dc.identifier.doi 10.1145/2086696.2086711 -
dc.identifier.issn 1544-3566 -
dc.identifier.scopusid 2-s2.0-84863291580 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/3814 -
dc.identifier.url http://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=84863291580 -
dc.identifier.wosid 000299995000015 -
dc.language 영어 -
dc.publisher ASSOC COMPUTING MACHINERY -
dc.title Improving Performance of Nested Loops on Reconfigurable Array Processors -
dc.type Article -
dc.relation.journalWebOfScienceCategory Computer Science, Hardware & Architecture; Computer Science, Theory & Methods -
dc.relation.journalResearchArea Computer Science -
dc.description.journalRegisteredClass scie -
dc.description.journalRegisteredClass scopus -
dc.subject.keywordAuthor Design -
dc.subject.keywordAuthor Algorithms -
dc.subject.keywordAuthor Performance -
dc.subject.keywordAuthor Coarse-grained reconfigurable architecture -
dc.subject.keywordAuthor compilation -
dc.subject.keywordAuthor nested loop -
dc.subject.keywordAuthor software pipelining -
dc.subject.keywordPlus PARALLEL -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.