File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)
Related Researcher

이종은

Lee, Jongeun
Intelligent Computing and Codesign Lab.
Read More

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Improving Performance of Nested Loops on Reconfigurable Array Processors

Author(s)
Kim, YongjooLee, JongeunMai, Toan X.Paek, Yunheung
Issued Date
2012-01
DOI
10.1145/2086696.2086711
URI
https://scholarworks.unist.ac.kr/handle/201301/3814
Fulltext
http://www.scopus.com/inward/record.url?partnerID=HzOxMe3b&scp=84863291580
Citation
ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, v.8, no.4, pp.1 - 23
Abstract
Pipelining algorithms are typically concerned with improving only the steady-state performance, or the kernel time. The pipeline setup time happens only once and therefore can be negligible compared to the kernel time. However, for Coarse-Grained Reconfigurable Architectures (CGRAs) used as a coprocessor to a main processor, pipeline setup can take much longer due to the communication delay between the two processors, and can become significant if it is repeated in an outer loop of a loop nest. In this paper we evaluate the overhead of such non-kernel execution times when mapping nested loops for CGRAs, and propose a novel architecture-compiler cooperative scheme to reduce the overhead, while also minimizing the number of extra configurations required. Our experimental results using loops from multimedia and scientific domains demonstrate that our proposed techniques can greatly increase the performance of nested loops by up to 2.87 times compared to the conventional approach of accelerating only the innermost loops. Moreover, the mappings generated by our techniques require only a modest number of configurations that can fit in recent reconfigurable architectures.
Publisher
ASSOC COMPUTING MACHINERY
ISSN
1544-3566
Keyword (Author)
DesignAlgorithmsPerformanceCoarse-grained reconfigurable architecturecompilationnested loopsoftware pipelining
Keyword
PARALLEL

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.