File Download

There are no files associated with this item.

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.conferencePlace KO -
dc.citation.endPage 481 -
dc.citation.startPage 476 -
dc.citation.title 23rd Asia and South Pacific Design Automation Conference, ASP-DAC 2018 -
dc.contributor.author Kim, Sunmean -
dc.contributor.author Lim, Taeho -
dc.contributor.author Kang, Seokhyeong -
dc.date.accessioned 2023-12-19T17:37:44Z -
dc.date.available 2023-12-19T17:37:44Z -
dc.date.created 2018-06-12 -
dc.date.issued 2018-01-22 -
dc.description.abstract Over the last few decades, CMOS-based digital circuits have been steadily developed. However, because of the power density limits, device scaling may soon come to an end, and new approaches for circuit designs are required. Multi-valued logic (MVL) is one of the new approaches, which increases the radix for computation to lower the complexity of the circuit. For the MVL implementation, ternary logic circuit designs have been proposed previously, though they could not show advantages over binary logic, because of unoptimized synthesis techniques. In this paper, we propose a methodology to design ternary gates by modeling pull-up and pull-down operations of the gates. Our proposed methodology makes it possible to synthesize ternary gates with a minimum number of transistors. From HSPICE simulation results, our ternary designs show significant power-delay product reductions; 49 % in the ternary full adder and 62 % in the ternary multiplier compared to the existing methodology. We have also compared the number of transistors in CMOS-based binary logic circuits and ternary device-based logic circuits. -
dc.identifier.bibliographicCitation 23rd Asia and South Pacific Design Automation Conference, ASP-DAC 2018, pp.476 - 481 -
dc.identifier.doi 10.1109/ASPDAC.2018.8297369 -
dc.identifier.scopusid 2-s2.0-85045308684 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/35081 -
dc.identifier.url https://ieeexplore.ieee.org/document/8297369/ -
dc.language 영어 -
dc.publisher IEEE -
dc.title An optimal gate design for the synthesis of ternary logic circuits -
dc.type Conference Paper -
dc.date.conferenceDate 2018-01-22 -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.