File Download

  • Find it @ UNIST can give you direct access to the published full text of this article. (UNISTARs only)

Views & Downloads

Detailed Information

Cited time in webofscience Cited time in scopus
Metadata Downloads

Full metadata record

DC Field Value Language
dc.citation.number 6 -
dc.citation.startPage 611 -
dc.citation.title ELECTRONICS -
dc.citation.volume 8 -
dc.contributor.author Chang, Ik Joon -
dc.contributor.author Kang, Yesung -
dc.contributor.author Kim, Youngmin -
dc.date.accessioned 2023-12-21T19:06:59Z -
dc.date.available 2023-12-21T19:06:59Z -
dc.date.created 2019-08-02 -
dc.date.issued 2019-06 -
dc.description.abstract Reducing a supply voltage in order to minimize power consumption in memory is a major design consideration in this field of study. In static random access memory (SRAM), optimum energy can be achieved by reducing the voltage near the threshold voltage level for near threshold voltage computing (NTC). However, lowering the operational voltage drastically degrades the stability of SRAM. Thus, in conventional 6T SRAM, it is almost impossible to read exact data, even when a small process variation occurs. To address this problem, an 8T SRAM structure is proposed which can be widely used for improving the read stability at lower voltage operation. In this paper, we investigate the channel length biasing effect on the read access transistor of the 8T SRAM in NTC and compare this with 6T SRAM. Read stability can be improved by suppressing the leakage current due to the longer channel length. Simulation results show that, in NTC, up to a 12x read-error reduction can be achieved by the 20 nm channel length biasing in the 8T SRAM compared to 6T SRAM. -
dc.identifier.bibliographicCitation ELECTRONICS, v.8, no.6, pp.611 -
dc.identifier.doi 10.3390/electronics8060611 -
dc.identifier.issn 2079-9292 -
dc.identifier.uri https://scholarworks.unist.ac.kr/handle/201301/27243 -
dc.identifier.url https://www.mdpi.com/2079-9292/8/6/611 -
dc.identifier.wosid 000475354700017 -
dc.language 영어 -
dc.publisher MDPI -
dc.title Channel Length Biasing for Improving Read Margin of the 8T SRAM at Near Threshold Operation -
dc.type Article -
dc.description.isOpenAccess TRUE -
dc.relation.journalWebOfScienceCategory Engineering, Electrical & Electronic -
dc.relation.journalResearchArea Engineering -
dc.type.docType Article -
dc.description.journalRegisteredClass scie -
dc.description.journalRegisteredClass scopus -
dc.subject.keywordAuthor 8T SRAM -
dc.subject.keywordAuthor channel length biasing -
dc.subject.keywordAuthor read margin -
dc.subject.keywordAuthor near threshold voltage (NTV) -
dc.subject.keywordAuthor leakage -
dc.subject.keywordPlus VOLTAGE -
dc.subject.keywordPlus DESIGN -
dc.subject.keywordPlus TECHNOLOGY -

qrcode

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.